2024/04/25 13:59:07

台積技術論壇北美打頭陣,揭示A16等五關鍵技術

moneydj新聞 2024-04-25 13:59:06 記者 王怡茹 報導

晶圓代工龍頭台積電(2330)於24日舉辦2024年北美技術論壇,會中揭示其最新的製程技術、先進封裝技術、以及三維積體電路(3d ic)技術,並首度發表tsmc a16技術。緊接著台積電技術論壇將在歐洲(5/14)、台灣(5/23)、中國(5/25)、日本(6/28)接力展開,向全球展現技術實力。

2024年適逢台積北美技術論壇舉辦30周年,出席貴賓人數從30年前不到100位,增加到已超過2,000位。北美技術論壇於美國加州聖塔克拉拉市舉行,為接下來幾個月陸續登場的全球技術論壇揭開序幕;此次論壇活動亦設置創新專區,展示新興客戶的技術成果。

論壇焦點1:a16將於2026年量產

隨著領先業界的n3e技術進入量產及n2技術預計2025年下半年量產,台積電在其技術藍圖上推出了新技術a16。a16將結合台積電超級電軌(super power rail)架構與奈米片電晶體,預計2026年量產。公司亦推出系統級晶圓(tsmc-sow)技術,此創新解決方案帶來革命性的晶圓級效能優勢,冀滿足超大規模資料中心未來對ai的要求。

台積電的超級電軌技術將供電網路移到晶圓背面,期在晶圓正面釋出更多訊號網路的佈局空間,藉以提升邏輯密度和效能,讓a16適用於具有複雜訊號佈線及密集供電網路的高效能運算(hpc)產品。相較於台積n2p製程,a16在相同vdd (工作電壓)下,速度增快8-10%;在相同速度下,功耗降低15-20%,晶片密度提升高達1.10倍,以支援資料中心產品。

此外,台積創新的nanoflex技術支援奈米片電晶體,即將推出的n2技術將搭配tsmc nanoflex技術,展現台積公司在設計技術協同優化的嶄新突破。tsmc nanoflex為晶片設計人員提供了靈活的n2標準元件,這是晶片設計的基本構建模組,其中高度較低的元件能夠節省面積並擁有更高的功耗效率,而高度較高的元件則將效能最大化。

台積電總裁魏哲家表示,身處ai賦能的世界,人工智慧功能不僅建置於資料中心,內建於個人電腦、行動裝置、汽車、甚至物聯網之中。台積為客戶提供最完備的技術,從全世界最先進的矽晶片,到最廣泛的先進封裝組合與3d ic平台,再到串連數位世界與現實世界的特殊製程技術,以實現對ai的願景。

論壇焦點2:n4c製程2025年量產

台積電宣佈推出先進的n4c技術,以因應更廣泛的應用。n4c延續了n4p技術,晶粒成本降低達8.5%且採用門檻低,預計2025年量產。n4c提供具有面積效益的基礎矽智財及設計法則,且皆與廣被採用的n4p完全相容,因此客戶可以輕鬆移轉到n4c,且因晶粒尺寸縮小亦提高良率,冀為強調價值為主的產品提供具有成本效益的選擇。

論壇焦點3:系統級晶圓技術

台積電的系統整合晶片(soic)已成為3d晶片堆疊的領先解決方案,客戶越來越趨向採用cowos搭配soic及其他元件的做法,以實現最終的系統級封裝(system in package, sip)整合。

台積電系統級晶圓技術(tsmc-sow)提供了一個革新的選項,讓12吋晶圓能夠容納大量的晶粒,提供更多的運算能力,大幅減少資料中心的使用空間,並將每瓦效能提升好幾個數量級。

台積已經量產的首款sow產品,採用以邏輯晶片為主的整合型扇出(info)技術,而採用cowos技術的晶片堆疊版本預計2027年準備就緒,能夠整合soic、hbm及其他元件,冀打造一個強大且運算能力媲美資料中心伺服器機架或甚至整台伺服器的晶圓級系統。

論壇焦點4:矽光子整合進度

矽光子整合部分,台積電正在研發緊湊型通用光子引擎(coupe)技術,以支援ai熱潮帶來的數據傳輸爆炸性成長。coupe使用soic-x晶片堆疊技術,將電子裸晶堆疊在光子裸晶之上,相較於傳統的堆疊方式,能夠為裸晶對裸晶介面提供最低的電阻及更高的能源效率。

台積電預計2025年完成支援小型插拔式連接器的coupe驗證,接著於2026年整合cowos封裝成為共同封裝光學元件(co-packaged optics, cpo),將光連結直接導入封裝中。

論壇焦點5:車用先進封裝

繼2023年推出支援車用客戶及早採用的n3ae製程之後,台積公司藉由整合先進晶片與封裝來持續滿足車用客戶對更高運算能力的需求,以符合行車的安全與品質要求。

台積電正在研發info-os及cowos-r解決方案,將支援先進駕駛輔助系統(adas)、車輛控制及中控電腦等應用,預計2025年第四季完成aec-q100第二級驗證。